Cypress Semiconductor Perform CY7C1372D Uživatelská příručka Strana 43

  • Stažení
  • Přidat do mých příruček
  • Tisk
  • Strana
    / 97
  • Tabulka s obsahem
  • KNIHY
  • Hodnocené. / 5. Na základě hodnocení zákazníků
Zobrazit stránku 42
Here is its instantiation during a debug session.
Innovative Integration FrameWork Logic User Guide 43
--
-- ICON core component declaration
--
-------------------------------------------------------------------
component icon
port
(
control0 : out std_logic_vector(35 downto 0)
);
end component;
-------------------------------------------------------------------
--
-- ICON core signal declarations
--
-------------------------------------------------------------------
signal control0 : std_logic_vector(35 downto 0);
-------------------------------------------------------------------
--
-------------------------------------------------------------------
--
-- ILA core component declaration
--
-------------------------------------------------------------------
component ila
port
(
control : in std_logic_vector(35 downto 0);
clk : in std_logic;
data : in std_logic_vector(77 downto 0);
trig0 : in std_logic_vector(2 downto 0)
);
end component;
Illustration 40: ChipScope Core Declarations
Zobrazit stránku 42
1 2 ... 38 39 40 41 42 43 44 45 46 47 48 ... 96 97

Komentáře k této Příručce

Žádné komentáře